7nm Semiconductor Tech to Arrive in 3 Stages: GlobalFoundries

ADVERTISEMENT

 Silicon wafer

As the pace of Moore’s Law advances have slowed, and the benefits of each new node have gotten steadily smaller, we’ve seen the various foundries adopt different ways of dealing with the problem. Intel has pursued traditional scaling, but is spending longer at each node. But companies like Samsung, GlobalFoundries, and TSMC have defined a system of short and long-lived nodes, with different characteristics and their own individual roadmaps for how long each node will last.

Unlike TSMC and Samsung, both of which have 10nm products on their roadmaps, GlobalFoundries opted to leap straight for 7nm after licensing 14nm production from Samsung. It was an ambitious move for the foundry, which had problems with its initial roadmap after spinning itself off from AMD and eventually had to drop its own 14nm XM process plans in favor of a Samsung licensing deal. With 7nm, GlobalFoundries is planning to develop its own process technology and rollout schedule. We’ve previously talked about the company’s plans for a 2H 2018 high volume manufacturing start, but Anandtech has additional details on how the 7nm rollout will be handled.

GF-7nm

Image and data by Anandtech

In the table above, DUV refers to Deep Ultraviolet lithography, or the current 193nm lithographic systems already in use. GlobalFoundries first 7nm effort will use conventional lithography, with either 60 percent reduced power or 40 percent improved performance.

The introduction of EUV into second-generation 7nm isn’t actually expected to improve device performance or power consumption. The goal here is to introduce EUV to reduce reliance on triple and quadruple patterning. Right now, these techniques are required to use a 193nm excimer laser to etch features at such tiny sizes, but they drive up mask counts and manufacturing costs. GlobalFoundries does note that the yield improvements expected from using EUV (assuming the technology is ready on-schedule) could allow for superior binning and allow some device enhancements for that reason.

GF-7nm

Finally, there’s a third generation of 7nm planned, with improved line edge roughness, uniformity, and other refinements that deal with some of the issues that currently plague EUV production. The company isn’t characterizing its expected gains from these changes, other than to say that it should be able to offer lower power and higher performance in third-gen EUV compared with previous products.

ADVERTISEMENT

Much of this will depend on ASML, a company that makes photolithography systems for semiconductors, being able to deliver production-ready EUV on-schedule — and as we’ve covered before, that’s still unproven. The company has clearly made progress in recent years, but we’re not going to count EUV as ready for volume production until volume parts are actually rolling off foundry lines.

As for GlobalFoundries, if it can stick to this three-wave pattern, it should be well positioned to compete with TSMC and Samsung. Here’s hoping for a three-way race to next-generation foundry nodes rather than the (still positive) two-way competition we saw at 14nm.

Content Protection by DMCA.com